TOP
0
0
即日起~6/30,暑期閱讀書展,好書7折起
數字電路與邏輯設計(簡體書)
滿額折

數字電路與邏輯設計(簡體書)

商品資訊

人民幣定價:45 元
定價
:NT$ 270 元
優惠價
87235
領券後再享88折起
海外經銷商無庫存,到貨日平均30天至45天
可得紅利積點:7 點
相關商品
商品簡介
名人/編輯推薦
目次

商品簡介

本書共8章和4個附錄,分別為數字電路理論基礎、邏輯門電路、組合邏輯電路、觸發器、時序邏輯電路、存儲器和可編程邏輯器件、脈衝波形的產生與整形電路、數/模及模/數轉換技術、EDA技術、Quartus Prime 17.1.0軟件使用簡介、Verilog HDL設計初步和部分習題參考答案等。
數字電路與邏輯設計是高等院校電子信息類各專業的一門重要的專業基礎課。本書的特點是遵循“深入淺出、保證基礎、精選內容、加強概念、聯繫實際”的原則,將數字電路與邏輯設計的理論與實際相結合,在論述重要概念與分析、設計方法後,通過大量的應用實例,讓學生既能夠掌握理論知識,又能夠解決實際問題,以此幫助學生理解和鞏固所學理論及知識。本書可以作為高等院校電子信息類各專業的教材,也可以作為相關專業工程技術人員的參考書。

名人/編輯推薦

數字電路與邏輯設計是電子、電氣、電信、通信、自動化、計算機等電子信息類專業一門重要的專業基礎課。
本書在編寫過程中注意循序漸進、難易結合、突出重點、突出實用、側重能力培養。本書具有以下特色:(1) 本書體現了一定的基礎性和先進性,通過學習,使學生能夠具有較為豐富的基礎理論和基礎知識,使學生具有可持續發展和創新的能力;(2) 本書內容注重培養學生分析問題和解決問題的能力、綜合運用所學知識的能力及工程實踐的能力;(3) 本書在選材和文字敘述上符合學生的認知規律,由淺入深、由簡單到複雜、由基礎知識到應用舉例,並配有豐富的例題和習題。

目次

第1章數字電路理論基礎(1)
1.1數制與編碼(1)
1.1.1十進制數(1)
1.1.2二進制數(1)
1.1.3十六進制數(3)
1.1.4數制轉換(4)
1.1.5有符號數的表示方法(6)
1.1.6十進制數的二進制編碼(8)
1.1.7格雷碼(9)
1.2二進制邏輯變量的電壓表示(10)
1.2.1用電壓表示二進制邏輯變量(10)
1.2.2正邏輯與負邏輯(10)
1.3基本邏輯運算和基本邏輯門(11)
1.3.1邏輯變量和邏輯函數(11)
1.3.2與運算及與門(11)
1.3.3或運算及或門(12)
1.3.4非運算及非門(13)
1.3.5複合邏輯及複合邏輯門(13)
1.3.6邏輯門符號(16)
1.4邏輯代數的基本定律及規則(17)
1.4.1邏輯代數的基本定律(17)
1.4.2邏輯代數的基本規則(17)
1.5邏輯函數的化簡(19)
1.5.1邏輯函數的表示形式(19)
1.5.2邏輯函數的化簡方法(22)
本章小結(26)
思考題(26)
習題(27)
第2章邏輯門電路(28)
2.1半導體二極管門電路(28)
2.1.1二極管的開關特性(28)
2.1.2二極管門電路(29)
2.2半導體三極管門電路(31)
2.2.1三極管的開關特性(31)
2.2.2三極管反相器(33)
2.3TTL集成門電路(34)
2.3.1TTL邏輯門(35)
2.3.2CMOS邏輯門(44)
2.3.3集成邏輯門的使用知識(50)
本章小結(53)
思考題(54)
習題(54)
第3章組合邏輯電路(56)
3.1組合邏輯電路概述(56)
3.1.1組合邏輯電路的特點(56)
3.1.2組合邏輯電路的邏輯功能概述(56)
3.1.3組合邏輯電路的類型、研究方法和任務(57)
3.2組合邏輯電路的分析(57)
3.2.1組合邏輯電路的分析步驟(57)
3.2.2組合邏輯電路的分析舉例(57)
3.3組合邏輯電路的設計(59)
3.3.1組合邏輯電路的設計步驟(60)
3.3.2組合邏輯電路的設計舉例(60)
3.4常用MSI組合邏輯電路及其應用(67)
3.4.1編碼器(67)
3.4.2譯碼器(74)
3.4.3數據選擇器(86)
3.4.4數值比較器(92)
3.4.5加法器(94)
3.4.6奇偶產生器/校驗器(100)
3.5組合邏輯電路綜合應用(102)
3.5.1簡單投票系統(102)
3.5.2動態掃描顯示電路(103)
3.5.3點陣顯示控制電路(105)
3.5.4簡單數據傳輸系統與檢錯電路(106)
3.6組合邏輯電路的競爭與冒險現象(107)
3.6.1競爭與冒險的概念及其產生的原因(107)
3.6.2消除競爭與冒險的方法(109)
本章小結(111)
思考題(111)
習題(112)
第4章觸發器(115)
4.1基本觸發器(115)
4.2同步觸發器(117)
4.2.1同步RS觸發器(117)
4.2.2同步JK觸發器(119)
4.2.3同步D觸發器(120)
4.2.4同步T觸發器(121)
4.3邊沿觸發器(122)
4.3.1主從JK觸發器(122)
4.3.2主從D觸發器(123)
4.3.3維持阻塞D觸發器(124)
4.4不同觸發器之間邏輯功能的轉換(126)
4.4.1D觸發器構成JK觸發器(126)
4.4.2JK觸發器構成D觸發器(127)
4.4.3D觸發器構成T觸發器(127)
本章小結(128)
思考題(128)
習題(128)
第5章時序邏輯電路(132)
5.1時序邏輯電路的基本概念(132)
5.1.1時序邏輯電路的一般模型(132)
5.1.2時序邏輯電路的功能描述方法(133)
5.2時序邏輯電路的分析(134)
5.2.1同步時序邏輯電路的分析(134)
5.2.2異步時序邏輯電路的分析(138)
5.3時序邏輯電路的設計(140)
5.3.1同步時序邏輯電路的設計(140)
5.3.2異步時序邏輯電路的設計(144)
5.4寄存器(147)
5.4.1數碼寄存器(147)
5.4.2移位寄存器(147)
5.5計數器(151)
5.5.1異步二進制加法計數器(151)
5.5.2同步二十進制加法計數器(153)
5.5.3集成計數器(154)
本章小結(157)
思考題(158)
習題(158)
第6章存儲器和可編程邏輯器件(162)
6.1只讀存儲器(162)
6.1.1ROM基本結構(162)
6.1.2譯碼與存儲陣列(164)
6.1.3可編程ROM(165)
6.1.4ROM應用舉例(166)
6.2隨機存儲器(168)
6.2.1RAM的結構和工作原理(168)
6.2.2存儲容量的擴展(171)
6.3簡單可編程器件(173)
6.3.1可編程器件簡介(173)
6.3.2PLD的結構和表示方法(175)
6.3.3PLD分類及設計舉例(177)
6.4CPLD(178)
6.4.1CPLD的結構框圖(179)
6.4.2CPLD的組成部分(179)
6.5FPGA(181)
6.5.1查找表結構基本原理(181)
6.5.2FPGA結構簡介(182)
本章小結(185)
思考題(186)
習題(186)
第7章脈衝波形的產生與整形電路(190)
7.1概述(190)
7.1.1理想的矩形脈衝波形(190)
7.1.2實際的矩形脈衝波形(190)
7.2555定時器(191)
7.2.1555定時器的簡介(191)
7.2.2555定時器的特點(192)
7.2.3555定時器的電路結構與工作原理(192)
7.2.4555定時器電路的應用(194)
7.3單穩態觸發器(195)
7.3.1單穩態觸發器的工作特點(195)
7.3.2單穩態觸發器的分類(195)
7.3.3555定時器構成單穩態觸發器(195)
7.3.4單穩態觸發器的應用(196)
7.4多諧振盪器(198)
7.4.1多諧振盪器的結構特點(198)
7.4.2多諧振盪器的分類(198)
7.4.3由555定時器組成的多諧振盪器(199)
7.5施密特觸發器(200)
7.5.1施密特觸發器的特點(200)
7.5.2由555定時器組成的施密特觸發器(201)
7.5.3施密特觸發器的應用(201)
7.6實例電路分析(203)
7.6.1多用途延遲開關電源插座(203)
7.6.2基於555定時器及CD4017計數器的跑馬燈(204)
7.6.3555觸摸定時開關(206)
7.6.4相片曝光定時器(207)
7.6.5單電源變雙電源電路(208)
7.6.6簡易催眠器(208)
7.6.7電熱毯溫控器(208)
本章小結(209)
思考題(210)
習題(210)
第8章數/模及模/數轉換技術(212)
8.1數/模轉換器(212)
8.1.1DAC的基本原理(212)
8.1.2電壓型DAC(214)
8.1.3電流型DAC(216)
8.1.4集成DAC(217)
8.1.5DAC的性能指標(220)
8.2模/數轉換器(221)
8.2.1A/D轉換的概述(221)
8.2.2A/D轉換的基本原理(221)
8.2.3逐次漸近型ADC(223)
8.2.4雙積分型ADC(224)
8.2.5並行比較型ADC(226)
8.2.6ADC的主要技術指標(227)
8.2.7ADC0809(227)
本章小結(230)
思考題(230)
習題(231)
附錄AEDA技術(232)
A.1EDA技術概述(232)
A.2EDA技術及其發展(232)
A.2.1電子CAD階段(233)
A.2.2電子CAE階段(233)
A.2.3EDA階段(233)
A.3數字設計的流程(234)
A.3.1設計輸入(235)
A.3.2綜合(236)
A.3.3適配(佈線佈局)(236)
A.3.4仿真(237)
A.3.5編程配置(237)
A.4常用的EDA軟件工具(238)
附錄BQuartus Prime 17.1.0軟件使用簡介(239)
B.1創建新工程(239)
B.2建立Verilog HDL文件(244)
B.3引腳配置(245)
B.4項目編譯及結果分析(247)
B.5下載編程(248)
附錄CVerilog HDL設計初步(249)
C.1Verilog HDL簡介(249)
C.1.1Verilog HDL的發展經歷(249)
C.1.2Verilog HDL的特點(250)
C.2Verilog HDL模塊的基本結構(250)
C.3Verilog HDL的語言要素(252)
C.3.1基本語法定義(252)
C.3.2數據類型(257)
C.4Verilog HDL的行為語句(260)
C.4.1過程語句(261)
C.4.2塊語句(263)
C.4.3賦值語句(264)
C.4.4條件語句(266)
C.4.5循環語句(269)
C.4.6編譯指示語句(270)
C.5Verilog HDL 設計的層次與風格(271)
C.5.1Verilog HDL 設計的層次(271)
C.5.2結構描述(271)
C.5.3行為描述(276)
C.5.4數據流描述(277)
C.5.5分層次結構電路的設計(278)
附錄D部分習題參考答案(281)
參考文獻(285)

您曾經瀏覽過的商品

購物須知

大陸出版品因裝訂品質及貨運條件與台灣出版品落差甚大,除封面破損、內頁脫落等較嚴重的狀態,其餘商品將正常出貨。

特別提醒:部分書籍附贈之內容(如音頻mp3或影片dvd等)已無實體光碟提供,需以QR CODE 連結至當地網站註冊“並通過驗證程序”,方可下載使用。

無現貨庫存之簡體書,將向海外調貨:
海外有庫存之書籍,等候約45個工作天;
海外無庫存之書籍,平均作業時間約60個工作天,然不保證確定可調到貨,尚請見諒。

為了保護您的權益,「三民網路書店」提供會員七日商品鑑賞期(收到商品為起始日)。

若要辦理退貨,請在商品鑑賞期內寄回,且商品必須是全新狀態與完整包裝(商品、附件、發票、隨貨贈品等)否則恕不接受退貨。

優惠價:87 235
海外經銷商無庫存,到貨日平均30天至45天

暢銷榜

客服中心

收藏

會員專區