TOP
0
0
即日起~6/30,暑期閱讀書展,好書7折起
Intel FPGA權威設計指南:基於Quartus Prime Pro 19集成開發環境(簡體書)
滿額折

Intel FPGA權威設計指南:基於Quartus Prime Pro 19集成開發環境(簡體書)

商品資訊

人民幣定價:199 元
定價
:NT$ 1194 元
優惠價
871039
領券後再享89折起
海外經銷商無庫存,到貨日平均30天至45天
可得紅利積點:31 點
相關商品
商品簡介
作者簡介
名人/編輯推薦
目次

商品簡介

本書以Intel公司的Quartus Prime Pro 19集成開發環境與Intel新一代可編程邏輯器件Cyclone 10 GX為軟件和硬件平臺,系統地介紹了可編程邏輯器件的原理和Quartus Prime Pro集成開發環境的關鍵特性。全書共11章,內容主要包括Intel Cyclone 10 GX FPGA結構詳解、Quartus Prime Pro HDL設計流程、Quartus Prime Pro塊設計流程、Quartus Prime Pro定制IP核設計流程、Quartus Prime Pro命令行腳本設計流程、Design Space Explorer II設計流程、Quartus Prime Pro系統調試原理及實現、Quartus Prime Pro時序和物理約束原理及實現、Quartus Prime Pro中HDL高級設計方法、Quartus Prime Pro部分可重配置原理及實現,以及Intel高級綜合工具原理及實現方法。

作者簡介

何賓,著名的嵌入式技術和EDA技術專家,長期從事電子信息技術方面的教學和科研工作,與全球多家知名的半導體廠商和EDA工具廠商大學計劃保持緊密合作。目前已經出版電子信息技術方面的著作近70部,內容涵蓋電路仿真、電路設計、可編程邏輯器件、數字信號處理、單片機、嵌入式系統、片上可編程系統等。典型的代表作有《模擬電子系統設計指南(基礎篇):從半導體、分立元件到TI集成電路的分析與實現》、《模擬電子系統設計指南(實踐篇):從半導體、分立元件到TI集成電路的分析與實現》、《Xilinx Zynq-7000嵌入式系統設計與實現-基於ARM Cortex-A9雙核處理器和Vivado的設計方法(第2版)》、《Altium Designer17一體化設計標準教程-從仿真原理和PCB設計到單片機系統》、《STC8系列單片機開發指南:面向處理器、程序設計和操作系統的分析與應用》、《Xilinx FPGA數字信號處理系統設計指南-基於HDL、Simulink和HLS的實現》等。

名人/編輯推薦

系統介紹Intel Quartus Prime Pro 19集成開發環境的經典著作系統介紹Intel高級綜合工具HLS的經典著作系統介紹Intel新一代Cyclone 10 GX FPGA架構和原理的經典著作系統介紹Intel可重配置技術的經典著作
內容全面、解讀詳細、實例豐富

目次

目 錄
第 章 Intel Cyclone 10 GX FPGA 結構詳解 1
1.1 邏輯陣列塊和自適應邏輯塊 1
1.1.1 ALM結構和功能 1
1.1.2 LUT的工作模式 2
1.1.3 寄存器和鎖存器 12
1.1.4 LAB的互聯架構 21
1.1.5 分布式存儲器 22
1.2 存儲器塊 32
1.2.1 嵌入式存儲器塊設計指導 32
1.2.2 存儲器塊打包模式 34
1.2.3 地址時鐘使能 35
1.2.4 存儲器塊異步清除 35
1.2.5 存儲器塊糾錯碼 35
1.2.6 使用M20K實現RAM 36
1.3 時鐘網絡和相位鎖相環 40
1.3.1 時鐘網絡類型 40
1.3.2 時鐘資源功能 43
1.3.3 層次化時鐘結構 45
1.3.4 時鐘控制塊 47
1.3.5 時鐘功耗控制 50
1.3.6 相位鎖相環 52
1.4 I/O塊 58
1.4.1 I/O組的排列 59
1.4.2 I/O電氣標準 60
1.4.3 I/O架構和特性 62
1.4.4 可編程的IOE特性 65
1.4.5 片上端接 67
1.4.6 SERDES和DPA 67
1.5 DSP塊 70
1.5.1 DSP塊特性 71
1.5.2 DSP塊資源 71
1.5.3 DSP塊架構 72
1.5.4 DSP塊應用 72
1.6 外部存儲器接口 78
1.6.1 外部存儲器接口特性 79
1.6.2 外部存儲器接口I/O引腳 80
1.6.3 器件封裝支持的存儲器接口 80
1.6.4 外部存儲器接口架構 82
1.7 配置技術 87
1.7.1 AS配置 89
1.7.2 PS配置 94
1.7.3 FPP配置 97
1.7.4 JTAG配置 106
1.7.5 配置流程 108
1.8 電源管理 110
1.8.1 功耗 110
1.8.2 可編程電源技術 110
1.8.3 電源傳感線 111
1.8.4 片上電壓傳感器 111
1.8.5 溫度傳感二極管 112
1.8.6 上電/斷電順序要求 112
第 章 Quartus Prime Pro HDL 設計流程 113
2.1 Quartus Prime Pro及組件的下載、安裝和授權 113
2.1.1 下載Quartus Prime Pro及組件 113
2.1.2 安裝Quartus Prime Pro及組件 117
2.1.3 授權Quartus Prime Pro及組件 118
2.2 Quartus Prime Pro功能和特性 121
2.3 Quartus Prime Pro設計流程 122
2.3.1 處理流程框架 122
2.3.2 增量優化的概念 125
2.3.3 超感知設計流程 125
2.4 建立新的設計工程 128
2.5 添加新的設計文件 134
2.6 設計的分析和綜合處理 137
2.6.1 分析和綜合的概念 137
2.6.2 分析和綜合的屬性選項 140
2.6.3 分析和綜合的實現 147
2.6.4 查看分析和綜合的結果 149
2.7 設計的行為級仿真 155
2.7.1 使用Verilog HDL生成測試向量的仿真 155
2.7.2 使用波形文件生成測試向量的仿真 161
2.8 設計的約束 165
2.8.1 通過GUI指定約束的方法 165
2.8.2 使用Tcl腳本約束設計的方法 166
2.8.3 在Assignment Editor中添加約束條件 172
2.8.4 在Pin Planner中添加約束條件 174
2.8.5 I/O分配分析 178
2.8.6 添加簡單的時序約束條件 180
2.9 設計的適配 184
2.9.1 適配器設置選項 184
2.9.2 適配的實現 189
2.9.3 查看適配後的結果 190
2.10 查看時序分析結果 196
2.10.1 時序分析的基本概念 196
2.10.2 時序路徑和時鐘分析 197
2.10.3 時鐘建立分析 200
2.10.4 時鐘保持分析 201
2.10.5 恢復和去除分析 202
2.10.6 多週期路徑分析 203
2.10.7 亞穩態分析 206
2.10.8 時序悲觀 207
2.10.9 時鐘作為數據分析 208
2.10.10 多角時序分析 209
2.10.11 時序分析的實現 210
2.11 功耗分析原理和實現 217
2.11.1 功耗分析器輸入 218
2.11.2 功耗分析器設置 220
2.11.3 節點和實體分配 222
2.11.4 執行功耗分析 223
2.12 生成編程文件 226
2.12.1 裝配器選項屬性設置 226
2.12.2 可編程文件類型 232
2.12.3 運行裝配器工具 232
2.12.4 生成PROM文件 233
2.13 下載設計 239
2.13.1 下載設計到FPGA 239
2.13.2 編程串行Flash存儲器 241
第 章 Quartus Prime Pro 塊設計流程 243
3.1 基於塊的設計介紹 243
3.1.1 與塊設計有關的術語 243
3.1.2 設計塊重用介紹 244
3.1.3 基於塊的增量編譯介紹 246
3.2 設計方法學介紹 247
3.2.1 自頂向下設計方法學介紹 247
3.2.2 自底向上設計方法學介紹 247
3.2.3 基於團隊的設計方法學介紹 248
3.3 設計分區 249
3.3.1 為外圍IP、時鐘和PLL規劃分區 250
3.3.2 設計分區指導 251
3.3.3 保留和重用分區快照 251
3.3.4 創建設計分區 252
3.4 設計分區重用流程 255
3.4.1 重用核心分區 256
3.4.2 重用根分區 263
3.4.3 保留核心實體重新綁定 269
3.5 增量塊設計流程 270
3.5.1 增量的時序收斂 270
3.5.2 設計抽象及實現 272
3.5.3 空分區時鐘源保留 273
3.6 設計塊重用和基於塊增量編譯的組合 273
3.7 建立基於團隊的設計 274
3.7.1 為基於團隊的設計創建一個頂層工程 274
3.7.2 為工程集成準備一個設計分區 277
3.8 自底向上的設計考慮 278
第 章 Quartus Prime Pro定制IP 核設計流程 279
4.1 Platform Designer工具功能介紹 279
4.1.1 Platform Designer支持的接口 279
4.1.2 元件結構 280
4.1.3 元件文件組織 281
4.1.4 元件版本 281
4.1.5 IP元件的設計週期 281
4.2 調用Platform Designer工具 282
4.3 創建定制元件IP核 285
4.3.1 指定IP元件類型 285
4.3.2 創建/指定用於綜合和仿真的HDL文件 286
4.4 創建通用元件IP核 298
4.5 對定制元件IP核進行驗證 309
4.6 對通用元件IP核進行驗證 310
4.6.1 添加頂層原理圖文件 310
4.6.2 修改user_define.v文件 312
4.6.3 添加generic_component_0.v文件 314
4.7 IP核生成輸出(Quartus Prime Pro版本) 315
第 章 Quartus Prime Pro命令行腳本設計流程 317
5.1 工具命令語言 317
5.2 Quartus Prime Tcl包 317
5.3 Quartus Prime Tcl API Help 319
5.3.1 命令行選項 321
5.3.2 Quartus Prime Tcl控制台窗口 323
5.4 端到端的設計流程 323
5.4.1 建立新的設計工程 325
5.4.2 添加新的設計文件 325
5.4.3 添加設計約束條件 326
5.4.4 設計綜合 329
5.4.5 設計適配 330
5.4.6 設計裝配(生成編程文件) 331
5.4.7 報告 331
5.4.8 時序分析 333
5.5 自動腳本執行 335
5.5.1 執行例子 336
5.5.2 控制處理 336
5.5.3 顯示消息 337
5.6 其他腳本 337
5.6.1 自然總線命名 337
5.6.2 短選項名字 337
5.6.3 集合命令 337
5.6.4 Node Finder命令 339
5.6.5 get_names命令 354
5.6.6 post_message命令 356
5.6.7 訪問命令行參數 356
5.6.8 quartus() Array 358
5.7 tclsh shell 359
5.8 Tcl腳本基礎知識 359
5.8.1 Intel FPGA COOL的例子 359
5.8.2 變量 359
5.8.3 替換 360
5.8.4 算術 360
5.8.5 列表 361
5.8.6 數組 361
5.8.7 控制結構 362
5.8.8 過程(子程序或函數) 363
5.8.9 文件I/O 363
第 章 Design Space Explorer II 設計流程 365
6.1 啟動DSE II工具 365
6.2 DSE II工具介紹 366
6.2.1 Project頁面 366
6.2.2

您曾經瀏覽過的商品

購物須知

大陸出版品因裝訂品質及貨運條件與台灣出版品落差甚大,除封面破損、內頁脫落等較嚴重的狀態,其餘商品將正常出貨。

特別提醒:部分書籍附贈之內容(如音頻mp3或影片dvd等)已無實體光碟提供,需以QR CODE 連結至當地網站註冊“並通過驗證程序”,方可下載使用。

無現貨庫存之簡體書,將向海外調貨:
海外有庫存之書籍,等候約45個工作天;
海外無庫存之書籍,平均作業時間約60個工作天,然不保證確定可調到貨,尚請見諒。

為了保護您的權益,「三民網路書店」提供會員七日商品鑑賞期(收到商品為起始日)。

若要辦理退貨,請在商品鑑賞期內寄回,且商品必須是全新狀態與完整包裝(商品、附件、發票、隨貨贈品等)否則恕不接受退貨。

優惠價:87 1039
海外經銷商無庫存,到貨日平均30天至45天

暢銷榜

客服中心

收藏

會員專區