TOP
0
0
【簡體曬書區】 單本79折,5本7折,活動好評延長至5/31,趕緊把握這一波!

三民網路書店 中國圖書館分類法 / 工業技術 / 無線電電子學、電信技術 / 微電子學、集成電路(IC)

1302筆商品,3/66頁
圖說集成電路製造工藝(簡體書)
滿額折

41.圖說集成電路製造工藝(簡體書)

作者:孫洪文  出版社:化學工業出版社  出版日:2023/08/01 裝訂:平裝
晶元是用管殼封裝好的集成電路。現代生活中隨處可見的電子產品,都離不開各式各樣的晶元。那麼,功能強大的晶元到底是怎樣製造而成的?讓我們跟著本書一探究竟吧! 《圖說集成電路製造工藝》首先用輕鬆有趣的語言介紹了半導體行業的發展史;接著將整個晶元製造流程分為「加」「減」「乘」「除」四類,用圖說的形式,全面細緻地講解了氧化、化學氣相淀積、物理法沉積薄膜、擴散、離子注入、清洗硅片、刻蝕、化學機械拋光、離子注入退火、迴流、製備合金、光刻等核心工藝,同時對半導體材料、凈化間、化學試劑、氣體、半導體設備、掩膜版等必需條件也做了介紹。 《圖說集成電路製造工藝》一書內容全面,語言凝練,圖文並茂,是一本「硬核」科普書,非常適合集成電路行業人員、對集成電路及前沿科技感興趣的讀者閱讀,也可用作高等院校微電子、電子科學與技術等相關專業的教材及參考書。
定價:594 元, 優惠價:87 517
海外經銷商無庫存,到貨日平均30天至45天
半導體集成電路(第二版)(簡體書)
滿額折

42.半導體集成電路(第二版)(簡體書)

作者:余寧梅; 楊媛; 郭仲傑  出版社:科學出版社  出版日:2023/08/01 裝訂:平裝
《半導體集成電路(第二版)》在簡述半導體集成電路的基本概念、發展和面臨的主要問題後,以“器件工藝電路應用”為主線,首先介紹半導體集成電路的主要製造工藝、基本元器件的結構和工作原理,然後重點討論數字集成電路中組合邏輯電路、時序邏輯電路、存儲器、邏輯功能部件,最後介紹模擬集成電路中的關鍵電路和數模、模數轉換電路。《半導體集成電路(第二版)》以問題為導向,在每一章節開始設置了啟發性問題,並以二維碼方式給出了關鍵章節的預習教學視頻。《半導體集成電路(第二版)》內容系統全面,敘述深入淺出,易於自學。配備了器件彩色三維結構圖,讀者可以通過掃描二維碼進行查看。
定價:414 元, 優惠價:87 360
海外經銷商無庫存,到貨日平均30天至45天
Altium Designer 20電路設計完全實戰一本通(簡體書)
滿額折

43.Altium Designer 20電路設計完全實戰一本通(簡體書)

作者:雲智造技術聯盟 編著  出版社:化學工業出版社  出版日:2023/08/01 裝訂:平裝
本書通過大量的工程實例和容量超大的同步視頻,系統地介紹了Altium Designer 20的新功能、入門必備基礎知識、各種常用功能的使用方法以及應用Altium Designer 20進行電路設計的思路、實施步驟和操作技巧。全書共分為12章,主要內容包括Altium Designer 20入門、原理圖繪製、原理圖編輯、原理圖高級編輯、高級原理圖繪製、原理圖庫設計、印製電路板繪製、印製電路板編輯、
定價:594 元, 優惠價:87 517
庫存:1
集成電路設計(第4版)(簡體書)
滿額折

44.集成電路設計(第4版)(簡體書)

作者:王志功; 陳瑩梅  出版社:電子工業出版社  出版日:2023/07/01 裝訂:平裝
本教材第3版曾獲首屆全國教材建設獎全國優秀教材二等獎。本書是"十二五”普通高等教育本科國家級規劃教材和普通高等教育"十一五”國家級規劃教材,全書遵循集成電路設計的流程,介紹集成電路設計的一系列知識。全書共12章,主要內容包括:集成電路設計概述,集成電路材料、結構與理論,集成電路基本工藝,集成電路器件工藝,MOS場效應管的特性,集成電路器件及SPICE模型,SPICE數模混合仿真程序的設計流程及方法,集成電路版圖設計與工具,模擬集成電路基本單元,數字集成電路基本單元與版圖,集成電路數字系統設計基礎,集成電路的測試和封裝。本書提供配套微課視頻、電子課件、Cadence公司授權的PSPICE學生版安裝軟件、HSPICE和PSPICE兩種仿真工具的電路實例設計包、集成電路版圖設計示範視頻等。
定價:419 元, 優惠價:87 365
海外經銷商無庫存,到貨日平均30天至45天
集成電路科學與工程導論(第2版)(簡體書)
滿額折

45.集成電路科學與工程導論(第2版)(簡體書)

作者:趙巍勝; 尉國棟; 潘彪  出版社:人民郵電出版社  出版日:2023/07/01 裝訂:平裝
集成電路是採用微納加工工藝將晶體管、電阻器、電容器和電感器等元器件互連集成在一起構成的,具有特定功能的電路系統,俗稱“芯片”。 本書立足集成電路專業,幫助讀者從理論到應用系統瞭解集成電路科學與工程的研究核心與行業動態,包括集成電路科學與工程發展史、集成電路關鍵材料、集成電路晶體管器件、集成電路工藝設備、集成電路製造工藝、大規模數字集成電路、大規模模擬及通信集成電路、先進存儲器技術、先進傳感器技術和集成電路設計自動化技術,共十章內容。 本書力求系統性、前沿性、創新性,同時與產業實踐相結合,可作為集成電路科學與工程相關方向的教材,也可供集成電路領域的研究人員、工程技術人員及對集成電路產業與技術感興趣的人士參考。
定價:599 元, 優惠價:87 521
海外經銷商無庫存,到貨日平均30天至45天
模擬/混合信號集成電路抗輻照技術與實踐(簡體書)
滿額折

46.模擬/混合信號集成電路抗輻照技術與實踐(簡體書)

作者:黃曉宗; 李儒章; 付東兵; 吳雪等  出版社:哈爾濱工業大學出版社  出版日:2023/07/01 裝訂:精裝
定價:648 元, 優惠價:87 564
海外經銷商無庫存,到貨日平均30天至45天
Cadence 16.6電路設計與模擬從入門到精通(簡體書)

47.Cadence 16.6電路設計與模擬從入門到精通(簡體書)

作者:王超; 胡仁喜  出版社:人民郵電出版社  出版日:2023/07/01 裝訂:平裝
全書以Cadence為平臺,介紹了電路設計的基本方法和技巧。全書共15章,內容包括Cadence概述、原理圖設計工作平臺、原理圖編輯環境、原理圖設計基礎、原理圖的繪製、原理圖後續處理、原理圖的高 級設計、創建元件庫、創建PCB封裝庫、Allegro PCB設計平臺、PCB設計基礎、電路板設計、電路板後期處理、仿真電路原理圖設計和仿真電路電路板設計。在介紹的過程中,注意由淺入深,從易到難,各章節既相對獨立又前後關聯,在介紹的過程中,作者根據自己多年的經驗及學習的通常心理,及時給出總結和相關提示,幫助讀者及時快捷地掌握所學知識。全書解說翔實,圖文並茂,語言簡潔,思路清晰。本書可以作為初學者的入門與提高教材,也可作為相關行業工程技術人員以及各院校相關專業師生學習參考。本書隨書配送多媒體教學光盤,包含全書實例操作過程錄屏AVI文件和實例源文件,讀者可以通過多媒體光盤方便直觀的學習本書內容。
缺貨無法訂購
MEMS三維芯片集成技術(簡體書)
滿額折

48.MEMS三維芯片集成技術(簡體書)

作者:(日)江刺正喜  出版社:化學工業出版社  出版日:2023/07/01 裝訂:精裝
《MEMS三維芯片集成技術》一書由微機電系統(MEMS)領域的國際著名專家江刺正喜教授主編,對MEMS器件的三維集成與封裝進行了全面而系統的探索,梳理了業界前沿的MEMS芯片製造工藝,詳細介紹了與集成電路成熟工藝兼容的MEMS技術,重點介紹了已被廣泛使用的矽基MEMS以及圍繞系統集成的技術。主要內容包括:體微加工、表面微加工、CMOSMEMS、晶圓互連、晶圓鍵合和密封、系統級封裝等。本書全面總結了各類MEMS三維芯片的集成工藝以及目前最先進的技術,非常適合MEMS器件、集成電路、半導體等領域的從業人員閱讀,為後摩爾時代半導體行業提供了發展思路以及研究方向,並且為電路集成和微系統的實際應用提供了一站式參考。
定價:1188 元, 優惠價:87 1034
庫存:2
數字IC設計及EDA應用(簡體書)
滿額折

49.數字IC設計及EDA應用(簡體書)

作者:杜慧敏; 鄧軍勇  出版社:人民郵電出版社  出版日:2023/07/01 裝訂:平裝
本書面向集成電路設計與集成系統、微電子科學與工程高年級本科生和相關專業低年級研究生以及有一定Verilog HDL語言基礎,未來願意從事數字IC設計的科技人員,針對基於標準單元的大規模數字集成電路設計,介紹自頂向下的設計方法和設計流程,用Verilog HDL描述數字集成電路時常用的規範、設計模式與設計方法,以及數字IC設計流程中Linux/Solaris平臺上主流的EDA工具,包括:仿真工具NC-verilog/VCS、邏輯綜合工具Design Compiler、靜態時序分析工具PrimeTime、形式化驗證工具Formality、工具命令語言TCL以及ICC編譯工具等。本書內容按照基於標準單元的數字IC開發流程進行章節安排,包括EDA工具綜述、可綜合Verilog HDL子集、動態驗證、EDA工具運行環境、UVM、邏輯綜合、靜態時序分析、形式化驗證以及物理設計等,通過實際的工程例子說明設計規範和方法的使用,以及相應的EDA工具軟件的使用細節與注意事項,力求使初學者能夠熟練掌握Verilog HDL語言描述數字電路並初步掌握EDA工具的基本功能和使用。
定價:539 元, 優惠價:87 469
海外經銷商無庫存,到貨日平均30天至45天
Cadence 17.2 電路設計與仿真從入門到精通(簡體書)
79折

50.Cadence 17.2 電路設計與仿真從入門到精通(簡體書)

作者:李鵬; 吳榮  出版社:人民郵電出版社  出版日:2023/07/01 裝訂:平裝
全書以Cadence為平臺,講解了電路設計的基本方法和技巧。全書共15章,內容包括Cadence概述、原理圖設計概述、原理圖編輯環境、原理圖設計基礎、原理圖的繪製、原理圖的後續處理、原理圖設計、創建元器件庫、創建PCB封裝庫、Allegro PCB設計平臺、PCB設計基礎、印製電路板設計、電路板後期處理、仿真電路原理圖設計和仿真電路電路板設計。在講解的過程中,內容由淺入深,從易到難,各章節既相對獨立又前後關聯。全書解說翔實,圖文並茂,語言簡潔,思路清晰。本書隨書配送多媒體電子資料,包含全書實例操作過程錄屏AVI文件和實例源文件,讀者可以通過多媒體光盤方便直觀地學習本書內容。本書既可作為初學的入門與提高教材,也可作為相關行業工程技術人員以及各院校相關專業師生學習參考。
簡體曬書節-單79五70
定價:534 元, 優惠價:79 421
庫存:1
SoC底層軟體低功耗系統設計與實現(簡體書)
滿額折

51.SoC底層軟體低功耗系統設計與實現(簡體書)

作者:李曉傑  出版社:機械工業出版社  出版日:2023/06/14 裝訂:平裝
這是一本系統剖析SoC底層軟件低功耗系統設計、實現與定制化的著作,同時它還全面講解了低功耗的知識點以及各種低功耗問題的定位與優化。作者在華為海思等半導體企業深耕低功耗領域10餘年,本書內容基於作者的實際商用經驗撰寫而成,讀完本書後,我們至少能掌握以下知識:(1)Linux內核中所有與低功耗相關的17個模塊的設計與實現原理,以及這些模塊的自定義實現和二次開發,這部分內容能讓讀者對低功耗相關的問題知其然並知其所以然。(2)Linux內核中關於低功耗的設計思想,既同時適用於宏內核、微內核和精簡內核,又可以給其他的操作系統提供思路和啟發。(3)低功耗的20餘個擴展知識點,讓讀者對低功耗有更全面、更深入地瞭解。(4)各種低功耗問題的定位方法和通用優化手段。
定價:654 元, 優惠價:87 569
海外經銷商無庫存,到貨日平均30天至45天
圖解入門:半導體元器件精講(簡體書)
滿額折

52.圖解入門:半導體元器件精講(簡體書)

作者:(日)執行直之  出版社:機械工業出版社  出版日:2023/06/09 裝訂:平裝
本書改編自東芝株式會社內部培訓用書。為了讓讀者理解以矽(Si)為中心的半導體元器件,筆者用了大量的圖解方式進行說明。理解半導體元器件原理最有效的圖,其實是能帶圖。全書共7章,包括半導體以及MOS晶體管的簡單說明、半導體的基礎物理、PN結二極管、雙極性晶體管、MOS電容器、MOS晶體管和超大規模集成電路器件。在本書最後,附加了常量表、室溫下(300K)的Si基本常量、MOS晶體管、麥克斯韋玻爾茲曼分佈函數、關於電子密度n以及空穴密度p的公式、質量作用定律、PN結的耗盡層寬度、載流子的產生與複合、小信號下的共發射極電路的電流放大倍數、帶隙變窄以及少數載流子遷移率、閾值電壓Vth、關於漏極電流ID飽和的解釋。本書主要面向具有高中數理基礎的半導體初學者,也可供半導體、芯片從業者閱讀。
定價:594 元, 優惠價:87 517
海外經銷商無庫存,到貨日平均30天至45天
集成電路工藝實驗基礎(簡體書)
滿額折

53.集成電路工藝實驗基礎(簡體書)

作者:石建軍; 郭穎  出版社:東華大學(大陸)  出版日:2023/06/09 裝訂:平裝
全書分3章, 共26個實驗,第1章為基礎工藝,包含真空技術、矽片的清洗及氧化、光刻工藝流程實驗教學、氧等離子體刻蝕、 等離子體增強化學氣相沉積、磁控濺射法製備金屬薄膜、原子層沉積法製備納米薄膜的實驗原理及工藝流程;第2章為檢測測量技術,包含MOSFET 器件特性的測量與分析、橢圓偏振儀測薄膜厚度、紫外可見分光光度計測量亞甲基藍溶液濃度、傅立葉變換紅外光譜法 (FTIR) 測定矽中雜質氧的含量、等離子體朗繆爾探針診斷技術、等離子體發射光譜診斷技術、質譜法測定氧氣放電組成成份及能量實驗、半導體二極管的伏安特性及溫度特性、ICCD 器件的特性研究及應用、四探針法測量相變材料的變溫電阻曲線、薄膜厚度和形貌測量;第3章為工藝基礎及應用,包含表面波等離子體放電實驗、脈衝放電等離子體特性實驗、低氣壓容性耦合等離子體特性實驗、低氣壓感性耦合等離子體 (ICP) 特性實驗、等離子體晶格、等離子體功能材料製備與光學性能檢測、低溫等離子體染料廢水處理實驗、低溫等離子體產生 O3 及其應用的實驗探索。本書的目標和任務是使學生熟練掌握半導體材料和器件的製備、 基本物理參數以及物理性質的測試原理和表徵方法, 為半導體材料與器件的開發、 設計與研製打下堅定基礎。
定價:270 元, 優惠價:87 235
海外經銷商無庫存,到貨日平均30天至45天
Altium Designer原理圖與PCB設計(微課視頻版)(簡體書)
滿額折

54.Altium Designer原理圖與PCB設計(微課視頻版)(簡體書)

作者:張永華  出版社:清華大學出版社(大陸)  出版日:2023/06/06 裝訂:平裝
本書詳細介紹了利用最新版的Altium Designer 20軟件進行電路原理圖設計和印製電路板設計的方法和流程,內容涉及原理圖設計、原理圖元件庫的創建、印製電路板設計、封裝庫創建、PCB圖打印輸出等。本書以漢化版的Altium Designer 20軟件使用為前提撰寫,對軟件操作中的菜單命令、按鈕、對話框等名稱,均附上對應的英文,以方便不同語言版本用戶的使用。書中結合實例講解,插圖豐富,入門簡單,輔以作者使用軟件和教學中的經驗體會,關注難點和技巧,有助於初學者快速掌握軟件的應用。本書適合電子信息類相關專業在校學生作為教材使用,特別適合電子製作愛好者的入門和提高,也適合電子產品設計工程技術人員參考。
定價:414 元, 優惠價:87 360
海外經銷商無庫存,到貨日平均30天至45天
Altium Designer 20 印製電路板設計與製作(附微課視頻)(簡體書)
滿額折

55.Altium Designer 20 印製電路板設計與製作(附微課視頻)(簡體書)

作者:陳賾; 鐘小磊  出版社:人民郵電出版社  出版日:2023/06/01 裝訂:平裝
本書以PCB設計與製作工藝流程為主線,詳細介紹了PCB設計工具Altium Designer 20的使用方法、技巧和PCB製作工藝等內容。本書最後還以項目方式介紹了PCB設計以及PCB製作的不同工藝流程和製作方法。 本書共13章,主要內容有PCB基礎知識、PCB設計工具Altium Designer 20使用方法與技巧,元件庫與元件封裝庫的建立方法,原理圖設計基礎,原理圖的後續處理,原理圖設計與繪製技巧,層次化原理圖設計與PCB多通道設計方法,PCB設計基礎,PCB設計原則,PCB設計方法與技巧,PCB的後續處理,“減材製造法”製作PCB工藝(工業化學制板工藝與流程、機械雕刻製作工藝與流程、激光製作設備製作PCB的方法),“增材製造法”製作PCB工藝(液態金屬打印快速製作柔性、剛性和可拉伸電路工藝)和綜合項目設計實踐項目等內容。 本書所有案例都在Altium Designer 20設計工具中進行了驗證實操,便於初學者學習與實踐。關鍵案例在書中都有二維碼,讀者可以掃描二維碼觀看短視頻學習。 本書可作為高等院校相關專業學生的教材,還可供從事電子產品開發與應用的工程技術人員學習參考。
定價:419 元, 優惠價:87 365
海外經銷商無庫存,到貨日平均30天至45天
Altium Designer 22電路設計與仿真實戰從入門到精通(簡體書)
滿額折

56.Altium Designer 22電路設計與仿真實戰從入門到精通(簡體書)

作者:陳之炎  出版社:人民郵電出版社  出版日:2023/06/01 裝訂:平裝
2022年,Altium公司在其官網推出了電子電路設計軟件Altium Designer 22。與以往的版本相比,Altium Designer 22無論是在原理圖設計方面還是在印製電路板(PCB)版圖設計方面,都添加了諸多新功能。本書將對這些新功能進行解讀,為廣大電子設計自動化(EDA)設計人員提供新增工具的信息,幫助EDA設計人員更快地掌握 Altium Design 22的新功能,以跟上高速發展的EDA技術。本書對Altium Designer 22的功能進行系統而詳細的講解,重點介紹如何利用Altium Designer 22進行原理圖設計、PCB版圖設計、信號完整性分析和混合信號仿真。本書是電子工程師的入門級參考工具書,讀者讀完本書之後,能獨立完成通用電子電路設計。此外,本書精心選編的3個嵌入式項目實戰案例(PWM信號電機驅動、STM32單片機控制系統、SAM V71仿真開發板),分別從不同層面提供具體的設計參考,讀者可以根據實際設計需求選讀。本書可以作為電子電路相關專業的高年級本科生拓展閱讀的資料,也可以作為有志從事電子電路設計工作的低年級研究生的入門參考書。對硬件電路技術感興趣的研發工程師也適合閱讀本書。
定價:599 元, 優惠價:87 521
海外經銷商有庫存,到貨日平均約21天以內
Altium Designer 17電路設計與仿真從入門到精通(移動學習版)(簡體書)
滿額折

57.Altium Designer 17電路設計與仿真從入門到精通(移動學習版)(簡體書)

作者:張正文; 魏勇  出版社:人民郵電出版社  出版日:2023/06/01 裝訂:平裝
全書以Altium Designer 17為平臺,講解了電路設計的方法和技巧,主要包括Altium Designer 17概述、原理圖簡介、原理圖的環境設置、原理圖的基礎操作、原理圖的gao級應用、層次化原理圖設計、電路仿真系統、PCB設計入門、PCB的gao級編輯、電路板的後期製作、信號完整性分析、創建元器件庫及元器件封裝、可編程邏輯器件設計等內容。為了體現Altium的高端分析功能,本書特意講解了FPGA、VHDL編程等相關知識;通過各個方面的實例應用介紹,讓讀者在掌握電路繪圖技術的基礎上學會電路設計的一般方法和技巧。全書內容講解詳實,圖文並茂,思路清晰。本書可以作為初學者的入門教材,也可以作為電路設計及相關行業工程技術人員及各院校相關專業師生的學習參考書。
定價:414 元, 優惠價:87 360
海外經銷商無庫存,到貨日平均30天至45天
Altium Designer原理圖與PCB設計(項目式)(微課版)(簡體書)
滿額折

58.Altium Designer原理圖與PCB設計(項目式)(微課版)(簡體書)

作者:姚四改  出版社:人民郵電出版社  出版日:2023/06/01 裝訂:平裝
本書全面系統地介紹了Altium Designer 2014的使用方法,著重從實際應用方面介紹電路原理圖設計、電路原理圖元器件製作、元件封裝類型製作、PCB設計4部分內容。本書為項目驅動式教材,由6個難度逐漸增加的典型工作項目組成,分別為繪製穩壓電源電路原理圖、繪製照明電路原理圖、設計穩壓電源單面PCB、設計照明自動控制電路雙面PCB、設計單片機電路雙面PCB,以及設計單片機電路四層PCB。每個項目由多個工作任務組成,每個項目中都附有技能鏈接、學生悟道、實戰項目等部分供讀者拓展知識和鍛煉技能。 本書可作為中等、高等職業院校電子信息類相關專業的教材,也可供相關專業人員參考使用。
定價:299 元, 優惠價:87 260
海外經銷商無庫存,到貨日平均30天至45天
密碼芯片設計基礎(簡體書)
滿額折

59.密碼芯片設計基礎(簡體書)

作者:戴紫彬  出版社:科學出版社  出版日:2023/06/01 裝訂:平裝
《密碼芯片設計基礎》是作者在多年科研和教學工作實踐總結的基礎上整理編寫而成的。《密碼芯片設計基礎》共7章,全面介紹密碼芯片設計的基礎知識和關鍵技術。主要內容包括:密碼芯片的基本概念與性能指標,密碼芯片的總體設計與結構設計,邏輯運算、模加運算、模乘運算、有限域乘法運算、移位操作、比特置換、查表操作、反饋移位寄存器等8類密碼處理單元設計,存儲單元與互聯單元設計,分組密碼算法DES、序列密碼算法Grain-80、雜湊算法SHA1、非對稱密碼算法RSA的核心運算、大整數乘法芯片的數據路徑設計和控制器設計,以及密碼芯片安全防護等內容。
定價:414 元, 優惠價:87 360
海外經銷商無庫存,到貨日平均30天至45天
走進中國“芯”(簡體書)
滿額折

60.走進中國“芯”(簡體書)

作者:李玲; 姜波; 張虎  出版社:華中科技大學出版社  出版日:2023/06/01 裝訂:平裝
本專著書是華中科技大學"中國芯"社會實踐團隊優秀調研報告的合集.自2018年以來,華中科技大學四次開展"中國芯"主題社會實踐活動,共派出4支隊伍、380餘名師生,圍繞"中國芯的現狀如何破局""中國芯青年人才如何培養"等問題,對芯片領域的重要企業、相關高校和政府做了深度採訪和調研,積累了大量一手調研資料和相關數據,在此基礎上提出了有參考價值的建議,極大地提升了實踐團隊成員的社會實踐能力,希冀此書能偶助力解決芯片人才難題,為高校實踐育人模式提供新思路.
定價:588 元, 優惠價:87 512
海外經銷商無庫存,到貨日平均30天至45天
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 66

暢銷榜

客服中心

收藏

會員專區